quartus

    10calore

    1risposta

    Quartus 13.0 installato con Modelsim in Fedora 22 64-bit. Esecuzione di Quartus a 32 bit perché altrimenti ho un sacco di problemi. Tuttavia, posso avviare Quartus, creare un progetto, sintetizzarlo,

    6calore

    1risposta

    Sto usando Ubuntu Linux 14.04 LTS con Altera Quartus 15.0 web-edition e sto avendo difficoltà a simulare il mio progetto a causa di errori di licenza. Sto progettando un LCD_driver per il touch screen