Molto spesso in VHDL noto che un determinato componente ha più porte di uscita. Cioè in uno dei nostri esempi ci hanno dato i seguenti componenti:Come ignorare le porte di output con le mappe delle porte
COMPONENT eight_bitadder
PORT (a, b: in std_logic_vector(7 downto 0);
f: in std_logic;
C: out std_logic_vector(7 downto 0);
o, z: out std_logic);
END COMPONENT;
dove Z determina se il risultato è 0, e innesca o in caso di overflow.
Ora nel mio caso, desidero utilizzare questo sommatore, tuttavia il risultato effettivo non è importante, piuttosto desidero solo verificare se il risultato è "0". Potrei ovviamente aggiungere un segnale fittizio e memorizzare la porta su questo segnale, tuttavia sembra inutilmente complicato e potrebbe aggiungere componenti extra durante la sintesi?
Quindi open è una parola chiave speciale: può essere utilizzata anche con la sintassi in cui non si specifica il nome delle porte e si utilizza l'ordine? (chiedendo solo la verifica/chiarezza)? – paul23
Sì, "aperto" è riservato in VHDL. Onestamente non l'ho mai provato, provaci e fammi sapere come va. Dichiaro sempre esplicitamente la mappatura delle porte. – Russell
ben testato e sì, potrei compilarlo e sintetizzarlo, anche con la denominazione non esplicita. Grazie ancora. – paul23