2011-01-25 6 views
10

improvvisamente mi sono reso conto che non v'è alcuna Altera Quartus o Xilins ISE o ModelSim su Mac OS X.Cosa usare per la simulazione VHDL/digital-logica su Mac OS X

Che cosa la gente usa per almeno simulare VHDL e disegni schematici su Mac?

+0

È necessario utilizzare VHDL? Se puoi usare Verilog, Icarus + GtkWave è un ottimo strumento per la simulazione logica e anche molto facile da usare. –

risposta

4

Prova GHDL (collegamento alternativo: a ghdl.free.fr).

Dal sito:

GHDL è un simulatore open-source per il linguaggio VHDL. GHDL consente a di compilare ed eseguire il codice VHDL direttamente nel PC. Combinato con un visualizzatore di onde basato su GUI e un buon editor di testo VHDL, GHDL è uno strumento molto potente per scrivere, testare e simulare il codice VHDL .

GHDL è basato sul molto popolare compilatore GCC GNU e gira su Linux, di Windows e Apple OS X. È possibile scaricare liberamente una distribuzione binaria per il vostro sistema operativo o provare a compilare GHDL sulla propria macchina.

+0

Il sito corrente per ottenere ghdl è https://github.com/tgingold/ghdl/releases. La versione rilasciata è 0,33. – user1155120

3

Io uso aquamacs per la voce di progettazione. Per verilog uso Icarus e gtkwave.

Non ho provato GHDL, ho eseguito un server linux senza testa tramite VirtualBox ed eseguo modelim tramite ssh con l'inoltro X11. Questo funziona anche per ISE e Quartus.

Si noti che per l'inoltro X11 su Mac, è necessario attivare fiducia nella configurazione

X11Forwarding  yes 
ForwardX11Trusted yes 

o sulla riga di comando

ssh -Y 

Fammi sapere come si ottiene.

2

Ciò che molte persone usano è Parallels (o un altro strumento di virtualizzazione), in modo che possano usare ModelSim su Parallels, sul proprio Mac. Alcune persone si limitano a SSH per un server Linux aziendale che esegue il simulatore.

Un altro modo interessante è www.plunify.com. Questo è un servizio che esegue le tue simulazioni nel cloud e ti invia i risultati via email.

Infine, ho sentito che c'è un simulatore VHDL commerciale che gira nativamente su Mac OS X: DirectVHDL. Ha dei limiti, ma potrebbe essere abbastanza buono per iniziare.

+2

DirectVHDL non è più disponibile. :( –